Skip to content

GAA Structure Transistors

  • mail
‘GAA structure’ transistors: A next-generation process for next-generation semiconductors Semiconductors are the driving force of the Quaternary Industrial revolution, found everywhere from artificial intelligence (AI) to 5G, the Internet of Things and autonomous driving. As semiconductor technology becomes more sophisticated and complex, its process technologies are making forward strides as well. In particular, as next-generation devices grow smaller in size and become highly integrated, the importance of nano-scale process technologies is increasing. Next-generation transistors are crucial in the evolution of semiconductors, whose performance is consistently improving despite the reduced size and power consumption. Modifying the transistor structure to overcome semiconductor scaling limitations The transistor is an important semiconductor chip element, which regulates and amplifies the flow of current as well as functions as a switch. And the most important part of the transistor is the gate. Applying voltage to a gate allows current to flow, and when voltage is removed, the current stops flowing. With hundreds and millions of these transistors packed into each semiconductor chip in ultra high-density integrated circuits, highly precise processes are required and transistor sizes get ever smaller. This, in turn, gives rise to a need for precise control of these minute transistors. Next-generation GAA (Gate-All-Around) transistor structure
차세대 트랜지스터 구조
차세대 트랜지스터 구조

When voltage is applied to the gate of a transistor, current flows through a channel from a source to a drain. In conventional planar transistors, the gate and the channel shared the same plane in a two-dimensional (2D) structure. Reducing the transistor size meant a shorter distance from a source to a drain, causing the gate to leak current in a phenomenon known as short channel. This posed restrictions on how small transistors could get, and how low the operating voltage could be. To circumvent this issue, a three-dimensional (3D) process technology called FinFET was developed. A FinFET transistor has a shape of fish’s fin, hence the name. As a broader contact area between the gate and the channel means increased efficiency, a structure where the gate and the channel are met in three dimensions allows for the contact area to be increased, leading to enhanced semiconductor performance. Samsung Electronics’ proprietary MBCFET™ technology
MBCFET
MBCFET

While fin transistors still have a place in the state-of-the-art semiconductor processes, it has recently been discovered that the gate voltage cannot be reduced any further in sub-4nm processes. The third-generation GAA (Gate-All-Around) structure was devised as a workaround to this problem. In GAA structure transistors that are to be adopted in 3nm and smaller circuits, the gate surrounds all four faces of the channel where electric current flows. This enables finer control of current flow and maximizes the channel controllability. The result is high power efficiency. Samsung Electronics announced at last year’s ‘Samsung Foundry Forum’ that the GAA (Gate-All-Around) technology would be introduced into the company’s next-generation 3nm processes. And at ‘Samsung Foundry Forum 2019’ held this May, a further improved version of the GAA structure was introduced. Conventional wire type channels have a tiny cross section of only about 1 nanometer, and this limits the amount of current that they can conduct. MBCFET™ (Multi Bridge Channel FET) technology boosts both performance and power efficiency by stacking multiple layers of thin yet broad nano sheets. MBCFET™ technology could lead to 45% less space than the latest 7nm FinFET transistors, and is expected to bring about around 50% power consumption savings and approximately 35% performance improvements. The width of nano sheets can be adjusted according to the chip features, giving better design flexibility. In addition, MBCFET™ is highly compatible with conventional FinFET processes, meaning that existing equipment and fabrication technologies can be utilized. GAA transistors will find their way into next-generation semiconductor applications that require high performance and low power consumption, from AI to Big Data, autonomous driving, and the Internet of Things. Samsung Electronics’ semiconductor technology has continually evolved past seemingly insurmountable technological limits. But there’s plenty more to come.