Skip to content

Applied Materials’ Innovative Pattern-Shaping Technology Reduces the Cost, Complexity and Environmental Impact of Advanced Chip Manufacturing

The new Centura® Sculpta® patterning system provides a simpler, faster and more cost-effective alternative to EUV double patterning

  • mail
Applied Materials, Inc. today unveiled a breakthrough in patterning technology that allows chipmakers to create high-performance transistors and interconnect wiring with fewer EUV lithography steps, thereby lowering the cost, complexity and environmental impact of advanced chipmaking. Customers increasingly use EUV double-patterning to print chip features smaller than the resolution limits of EUV to optimize chip area and cost. Using EUV double-patterning, chipmakers split a high-density pattern in half and produce two masks that adhere to the resolution limits of EUV. Both halves of the pattern are combined on intermediate patterning films and then etched into the wafer. While double-patterning is effective at increasing feature density, it adds design and patterning complexity along with process steps that consume time, energy, materials and water – and increase the cost of wafer fabs and wafer production. Introducing the Applied Materials Centura® Sculpta® Patterning System To help chipmakers continue shrinking designs without the added cost, complexity, and energy and materials consumption of EUV double patterning, Applied Materials worked closely with leading customers to develop the Centura Sculpta patterning system. Chipmakers can now print a single EUV pattern and then use the Sculpta system to elongate the shapes in any chosen direction to reduce the space between features and increase pattern density. Because the final pattern is created from a single mask, design cost and complexity are reduced, and the yield risk from double-patterning alignment errors is eliminated. EUV double patterning requires a number of added manufacturing process steps, including CVD patterning film deposition, CMP cleaning, photoresist deposition and removal, EUV lithography, eBeam metrology, patterning film etching and wafer cleaning. For each EUV double-patterning sequence it replaces, the Sculpta system can provide chipmakers with:
  • Capital cost savings of more than $500 million per 100K wafer starts per month (WSPM) of production capacity
  • Manufacturing cost savings of more than $50 per wafer
  • Energy savings of more than 17 kwh per wafer
  • Direct greenhouse gas emissions reduction of more than 0.35 kg of CO2 equivalent per wafer
  • Water savings of more than 15 liters per wafer
“The new Sculpta system is a great example of how advances in materials engineering can complement EUV lithography to help chipmakers optimize chip area and cost while also tackling the growing economic and environmental challenges of advanced chipmaking,” said Dr. Prabu Raja, Senior Vice President and General Manager of the Semiconductor Products Group at Applied Materials. “The Sculpta system’s unique pattern-shaping technology combines Applied’s deep expertise in ribbon-beam and materials removal technologies to create a breakthrough innovation for the patterning engineer’s toolkit.” Customer and Industry Comments [FOR SAMSUNG REVIEW] “Pushing the limits of patterning is required geneally to solve three critical issues: tip-to-tip spacing, pattern bridge defects and line edge roughness,” said Jong-Chul Park, Master, Samsung Electronics. “As an early development partner on the innovative pattern-shaping technology, I believe Applied’s Sculpta system is a fascinating breakthrough that is very helpful to overcoming these patterning challenges and reducing manufacturing costs for chipmakers worldwide.” [FOR DAN HUTCHESON’S REVIEW] “Applied Materials’ new Sculpta system is a revolution in patterning that brings an entirely new capability to chipmakers,” said Dan Hutcheson, TITLE, TechInsights. “As the industry keeps pushing the limits of chip scaling, we need innovations like Applied’s pattern-shaping technology that can improve chip power, performance, area and cost while also reducing design cost, and energy and materials consumption. For patterning experts, Sculpta is the biggest thing to come along since the arrival of CMP.” The Sculpta system is receiving high interest from leading chipmakers and has been selected as a production tool of record for multiple steps in high-volume logic manufacturing. Additional information about Applied’s Sculpta system will be discussed at the company’s “New Ways to Shrink: Advanced Patterning Products Launch” [Link to webinar] event being held today. About Applied Materials Applied Materials, Inc. (Nasdaq: AMAT) is the leader in materials engineering solutions used to produce virtually every new chip and advanced display in the world. Our expertise in modifying materials at atomic levels and on an industrial scale enables customers to transform possibilities into reality. At Applied Materials, our innovations make possible a better future. Learn more at www.appliedmaterials.com↗. Contact: Ricky Gradwohl (editorial/media) 408.235.4676 Michael Sullivan (financial community) 408.986.7977