Skip to content

Advanced Heterogeneous Integration

New dimensions
to power a new era

Samsung Foundry’s Advanced Heterogeneous Integration solutions empower today’s customers to pursue tomorrow’s breakthroughs. Through advanced memory and advanced process node technology that Samsung provides, chiplet and advanced packaging customers are able to enjoy seamless integration of bleeding-edge memory, 2.5D and 3D package variations and die-to-die interfaces.

Breakthroughs in AI, 5G, autonomous vehicles and Metaverse tech promise to reshape the way we live. But delivering the function and performance needed to power those advancements on a single chip is becoming more complex and less cost effective.
Samsung Foundry’s advanced Heterogeneous Integration Technology (HIT) brings chips, process nodes, and bleeding edge technologies together in one unified package—increasing density and combining powerful functions, all while bringing down costs.
In a future powered by HBM and advanced computing, HIT is empowering device manufacturers to explore new technologies that push the limits of performance in their products.

See below to learn more about Samsung’s I-Cube™ and X-Cube™ solutions.

Horizontal Integration2.5D Package: I-Cube™ & H-Cube™

I-Cube™ deploys parallel horizontal chip placement to boost performance while combating heat build-up. Samsung’s Through Silicon Via ( TSV ) and Backend-of-the-line ( BEOL ) technologies form a foundation for two or more chips to harmonize their specialized functions, becoming more than the sum of their parts to deliver powerful solutions for modern devices.
I-Cube™ is available in I-CubeS™ and I-CubeE™ derivatives based on the interposer type.

Play
Samsung Foundry's I-CUBE S™ delivers impressive bandwidth and stunning performance capabilities to the table with exceptional warpage control, even with large interposers.
I-CubeS™
  • I-CubeS™ brings impressive bandwidth and stunning performance capabilities to the table with exceptional warpage control, even with large interposers. Ultra-low signal loss is paired with high memory density, while thermal efficiency control is steeply improved.
Samsung Foundry's I-CubeE™ has Si embedded structure that covers the advantages of both Si bridge.
I-CubeE™
  • I-CubeE™ has silicon embedded structure which covers advantages of both silicon bridge by fine patterning and RDL interposer with TSV-less structure and large interposer size by applying FO-PLP, i.e. , fan-out panel level package.
    I-CubeE™ gets more cost-effective over a silicon interposer as the size of the interposer becomes much larger but still be able to take the advantage of small L/S from silicon bridges embedded in the middle of FO-PLP and used as interfaces between silicon dies. Superior warpage control and power integrity in I-CubeE™ enables the next generation chiplet architectures possible for many years to come.
Samsung Foundry's H-Cube™ is the hybrid substrate-applied structure that allows large size in I-Cube™ 2.5D packaging.
H-Cube™
  • H-Cube™ is the hybrid substrate-applied structure and it is the combination of fine patterning ABF (Ajinomoto Build-up Film) substrate and HDI (High Density Interconnection) substrate which allows large size into I-Cube™ 2.5D packaging.
    H-Cube™ solution is suited to high-performance systems that need to integrate a large number of silicon dies. In order to enhance the reliability of H-Cube™ solution, Samsung applies its proprietary signal/power integrity technology that can stably supply power while minimizing signal loss and distortion when stacking multiple logic chips and HBMs.

Vertical Integration3D IC: X-Cube™

3D IC packages boost performance even further by using much shorter interconnect wire lengths by stacking components vertically, enabling ultra-high vertical interconnect density with lower parasitics while saving massive amounts of on-chip real estate. 3D IC: X-Cube™ technology dramatically reduces yield risks from large monolithic dies, with 3D Integration that enables high system performance with lower cost while retaining high bandwidth and low power.

Play
Samsung Foundry's X-Cube™ (u-Bump) stacking logic is built on the Z-axis, increasing dynamic bonding capabilities.
X-Cube™ (u-Bump)
  • X-Cube™ is a leap forward in advanced packaging, stacking logic dies on the Z-axis and increasing dynamic bonding capabilities. Samsung innovations to fast track its superior Chip-on-Wafer – elevating the X-Cube™ beyond speed or performance dips with even more chips per stack.
Samsung Foundry's X-Cube™ (Hybrid Copper Bonding) has a lot of advantages in terms of layout flexibility compared with the conventional.
X-Cube™ (Hybrid Copper Bonding)
  • HCB (Hybrid Copper Bonding): The hybrid Cu-Cu connections have a lot of advantages from the view-point of layout flexibility compared with the conventional chip stacking technologies. Samsung Foundry is in progress of developing the ultra-fine pitch Cu-Cu connections such as less than 4um.