Skip to content

Samsung Advanced Packaging Helps Customers Bring Their Own Architecture

BYOB? BYOA! How Samsung Semiconductor’s Advanced Package business helps customers move beyond Moore’s Law through heterogeneous integration.

  • mail
As the semiconductor industry moves beyond Moore's Law, Samsung Semiconductor is ready to support customers with design infrastructure, chiplets, multi-die fabrication, substrate sourcing, and more. Just bring us your designs, ideas, or architecture, and we will take care of the rest. Using advanced heterogeneous integration to merge logic and memory semiconductors together in 2.5D and 3D packages that are higher performance and more power efficient at a lower cost than traditional monolithically designed chips, Samsung Semiconductor's Advanced Package (AVP) technologies include: I-Cube A 2.5D package, I-Cube brings incredible speed and heat performance together through parallel horizontal chip integration across a single layer of logic and a stacked layer of memory semiconductors. Using Samsung’s Through Silicon Via (TSV) and Backend-of-the-Line (BEOL) technologies, I-Cube allow multiple chips to harmonize their special functions for increased efficiency. Based on the interposer type, I-Cube is available in I-CubeS and I-CubeE derivatives.
X-Cube In a 3-D package, performance is boosted by stacking components vertically, enabling shorter interconnect wire lengths compared to conventional packaging and saving a huge amount of on-chip real estate. X-Cube technology marries high system performance with lower costs, as well as high bandwidth and low power, while dramatically reducing yield risks from larger monolithic dies.
Ready to Bring Your Own Architecture beyond Moore's Law? Find out more about Samsung Semiconductor’s Advanced Package business.